# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2011 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus II # Version 10.1 Build 197 01/19/2011 Service Pack 1 SJ Web Edition # Date created = 12:28:40 October 07, 2011 # # -------------------------------------------------------------------------- # QUARTUS_VERSION = "10.1" DATE = "12:28:40 October 07, 2011" # Revisions PROJECT_REVISION = "X16_04" PROJECT_REVISION = "X16_03" PROJECT_REVISION = "X16_02"